package_spec

package_spec ::= 
      "package" package_name "is" 
      package_obj_spec { package_obj_spec } 
      "end" [ package_name ] ";" 

[rule list]
This rule is call by

-> create_package