package_body

package_body 
      ::= 
      "package" package_name "is" 
      package_obj_body { package_obj_body } 
      [ "begin" seq_of_statements ] 
      "end" [ package_name ] ";" 

[rule list]
This rule is call by

-> create_package